Sunday, December 20, 2009

News from Semiconductor International

High/k TS (120909HighK330.jpg)Pressure Builds on Gate-First High-k
Problems with the gate-first approach to high-k/metal gate deposition may force IBM to switch to the gate-last approach pioneered by Intel, technologists said at IEDM. GlobalFoundries and other members of the Fishkill Alliance are putting pressure on IBM to reconsider its gate-first approach, which technologists said has problems with yields, threshold voltage stability and mobilities. more » » »  
Nvidia TS (120809Vias330.jpg)Nvidia's Chen Calls for Zero Via Defects
Nvidia needs zero defects from its foundry partners, particularly in the vias on its leading-edge graphics processors, said John Chen, vice president of technology and foundry operations at the GPU powerhouse. With 3.2 billion transistors on its 40 nm graphics processor now coming on the market, the 7.2 billion vias have become a source of problems that the industry must learn to deal with, Chen said in a keynote speech at IEDM. more » » »  
Stanford Scientists Present Flexible Retinal Implants at IEDM
Stanford University scientists presented R&D progress on a flexible retinal implant at the 2009 IEDM in Baltimore. When hit by the light, the solar cells inject current patterns corresponding to the projected images into neural tissue, which ultimately arrive at the visual cortex via the optic nerve, which sends signals to the brain.
more » » »  
MEB TS (121609MEB330.jpg)TSMC's Burn Lin Touts E-Beam, Slams EUV
In an IEDM presentation, TSMC lithography director Burn Lin made an impassioned plea for more resources devoted to multi-column e-beam lithography. EUV lithography, by comparison, has such a large energy footprint that Lin said it will need a nuclear power plant next to any high-volume fabs running multiple EUV scanners. "The costs of EUV are not acceptable," Lin added. more » » »  
Toshiba Develops Steep Channel Doping for 20 nm CMOS
Toshiba researchers at IEDM described a channel doping scheme that forms three layers on the surface of the channel: epitaxial silicon, carbon-doped silicon and boron-doped silicon.
more » » »  
ETSOI TS (121509ETSOI330.jpg)IBM Gains Confidence in 22 nm ETSOI
At the IEDM conference in Baltimore, IBM researchers indicated that a fully depleted CMOS on extremely thin SOI wafers may be the way to go at the 22 nm node. The approach allows reduced short channel effects, and supports gate length scaling to 25 nm and beyond. The fully depleted technology involves Soitec, which supplies wafers with a thin silicon layer on top of the buried oxide.more » » »  
Researchers Describe Use of Diblock Copolymer Lithography at IEDM
Researchers from Stanford developed a top-gated field-effect transistor featuring 20 nm contact holes using diblock copolymer lithography. The material can self-assemble into a regular array of holes on the order of 20 nm or smaller in diameter.
more » » »  
UMC hybrid high-kUMC Takes Hybrid Approach to 28 nm High-k
At IEDM, foundry UMC described a hybrid approach to high-k/metal gate deposition that seeks to take advantage of both the gate-first and gate-last approaches for 28 nm transistors. The hybrid scheme compares with a gate-last method supported by rival Taiwan foundry TSMC, and a gate-first approach by GlobalFoundries for the 28 nm generation. more » » »  
IQE, Intel Describe InGaAs on Si Devices With High-k
IQE and Intel presented a joint paper at IEDM on the development of InGaAs on silicon devices with high-k gate dielectrics. IQE's facility in Bethlehem, Pa., produced the blanket InGaAs QWFET epi wafers grown on silicon substrates using molecular beam epitaxy (MBE).
more » » »  
IBM Thin SOISilicon May Prevail Despite Power Fears
Speakers at an IEDM short course on scaling challenges said planar devices made in bulk silicon CMOS are likely to continue to be the basic technology platform for the next decade, despite concerns about power consumption. Although III-V and germanium channels offer high mobilities and lower operating voltages, the challenges of cost, manufacturing complexity and finding a workable gate dielectric may prevent adoption. Scott Thompson, organizer of the short course, said one exception may be Intel, which he said is seriously considering a tri-gate transistor for the outer nodes. more » » »  
Technologists Chart Directions in Technologies at Sematech Workshop
The fifth annual Sematech workshop, co-sponsored by Tokyo Electron Ltd. (TEL) and Aixtron AG and held in conjunction with IEDM, featured a complementary set of more than 40 presentations and panel discussions.
more » » »  
ASMI Hosts Seminar on ALD at IEDM
The ASM International seminar, held on the last day of IEDM, focused on the materials, equipment and process innovations that enable high-k and metal gates in volume manufacturing, including processes using atomic layer deposition (ALD) and plasma-enhanced ALD (PEALD).
more » » »  
Intel's InGaAs QWFETs TS (101509IntelTS330.jpg)IEDM Confronts Logic Scaling Challenges
IEDM included presentations on new annealing techniques, finFETs, compound semiconductors and random telegraph noise. The conference, with 215 paper presentations, was preceded by a Sunday short course on scaling challenges organized by TSMC's Howard C.H. Wang. more » » »  
Numonyx Presented Phase Change Memory Research Results at IEDM
Numonyx researchers presented their latest findings on phase change memory (PCM). STMicroelectronics and Numonyx were able to fully integrate a 4 Mb PCM macrocell on a 90 nm CMOS platform, and described 45 nm advances as well.
more » » »  

Saturday, December 12, 2009

Defect Detection Drives to Greater Depths

An Aerial lens Source: Applied Materials (SIX0908Cov1.jpg)Equipment suppliers are scrambling to develop new methods to detect particles that are smaller, visible and non-visible, while maintaining throughput. more » » »  

Sunday, December 6, 2009

Researchers Strive for Copper TSV Reliability

from SemiConductor International
TSV TS (120309CuTSV330.jpg)

Moving 3-D TSVs to high-volume manufacturing will require rock-stable TSV reliability. Although copper CTE-related issues have appeared during recent scale-up activities, IMEC and other research centers are beginning to come up with solutions. more » » »  

Sunday, November 22, 2009

Rugged Nano Films to Enable Applications

from Semiconductor International
Nanoparticle Films TS (111609NanoparticleTS.jpg)
Stronger nanoparticle films that are easier to handle have been developed by Vanderbilt University researchers. "Our films are so resilient that we can pick them up with a pair of tweezers and move them around on a surface without tearing," said James Dickerson, assistant professor of physics at Vanderbilt. more » » »  

IEDM Confronts Logic Scaling Challenges

from Semiconductor International
Intel's InGaAs QWFETs TS (101509IntelTS330.jpg)
The International Electron Devices Meeting (IEDM), set for Dec. 6-9 in Baltimore, includes presentations on new annealing techniques, finFETs, compound semiconductors and random telegraph noise. The conference, with 215 paper presentations, will be preceded by a Sunday short course on scaling challenges organized by TSMC's Howard C.H. Wang. more » » »  

Friday, November 13, 2009

Nikko Metals Offers Hybrid 450 mm Wafers


Nikko Metals - Top Story
Nikko Metals, a subsidiary of Nippon Mining and Metals, is readying hybrid 450 mm wafers that sinter a 300 mm single-crystal silicon wafer inside a 450 mm polycrystalline wafer. The goal is to save money for companies developing process equipment targeted to the 450 mm wafer diameter. more » » »  

Saturday, November 7, 2009

Nikko Metals Offers Hybrid 450 mm Wafers

from Semiconductor International
Nikko Metals - Top Story
Nikko Metals, a subsidiary of Nippon Mining and Metals, is readying hybrid 450 mm wafers that sinter a 300 mm single-crystal silicon wafer inside a 450 mm polycrystalline wafer. The goal is to save money for companies developing process equipment targeted to the 450 mm wafer diameter. more » » » 

Friday, November 6, 2009

Quantum Dot Mapping Points to Unthought of Applications

from Semiconductor International
Quantum dots TS (110509Quantum330TS.jpg)
University of Michigan physicists have mapped quantum dots, crystals with wide-ranging applications in electronics and photovoltaics. The step may lead toward "designer dots" that can be tailored for specific applications, and demonstrates the usefulness of X-ray phasing techniques. more » » » 

Thursday, November 5, 2009

Virtual Classroom for Members at ASTM

ASTM International is pleased to offer a series of free one-hour online training workshops. For more details on each training session and to register, please click on the appropriate link(s) below. If you need more information or have any questions, please contact Kevin Shanahan

Carsem Announces Extremely Thin MLP

from Semiconductor International
carsem MLP (110409Carsem.jpg)
Carsem, a provider of turnkey packaging and test services, announced an extremely thin version of its Micro Leadframe Package (MLP). more » » » 

Saturday, October 24, 2009

From Photovoltaics Report

from Semiconductor International


Sharp research-level triple-junction compound solar cell (1022_SharpTriple.jpg)Sharp Breaks Record for Triple-Junction Compound Solar Cell
By successfully forming a high-crystallinity bottom layer from InGaAs rather than the traditional germanium, Sharp has boosted conversion efficiency to 35.8% for its research-level triple-junction compound solar cell. more » » » 
Ascent Solar CIGS solar technology on flexible plastic substrates (102209AscentModule.jpg)Ascent Solar Achieves 14% Efficiency on Flexible CIGS
Ascent Solar Technologies has achieved 14% cell efficiency for its CIGS thin-film technology on flexible plastic substrates, and 11.7% peak efficiency for its CIGS modules. Both milestones were reached in commercial production at its 1.5 MW plant. more » » » 
Signet Solar PV module array (102209Signet-Solar.jpg)First Single-Junction 400 W PV Module Yields Higher Return
Signet Solar introduced a 400 W power class PV module using single-junction thin-film silicon technology that provides 15% higher output. more » » » 



eIQ Energy's Parallel Solar technology (102209eIQ_vBoost350.jpg)eIQ Energy Partners to Provide Parallel Wiring to Solar Industry
In two separate announcements, eIQ Energy detailed partnerships within the solar supply chain -- combining its vBoost DC-to-DC converter modules with PV Powered's inverters, and separately with Signet Solar's thin-film solar modules. eIQ's Parallel Solar technology lets solar arrays be wired in parallel rather than series for substantial cost savings. more » » » 
Suntech TS (102109SuntechTS.jpg)Suntech's Reliathon Reduces Utility Solar Costs, Speeds Development
PV module supplier Suntech Power Holdings announced its simplified, integrated program for designing and building utility-scale solar plants, combining product innovations with new business agreements to lower total system costs. more » » » 
Applied Baccini EsattoMotech Selects Esatto Technology for Double Printing Solar Cells
Motech Solar has installed and qualified an Applied Baccini back-end line for double printing, using the system's newly released Esatto Technology to manufacture its new cell design. more » » » 
SunEdison high-capacity, high-power solar array (102209SunEdison2.jpg)Satcon Power Inverters Go to Canada's Largest PV Power Plant
Satcon Technology Corp.'s PowerGate Plus 500 kW solar PV inverters are now fully operational at First Light, Canada's largest solar farm. Satcon supplied 18 inverters for the project. more » » » 
G24i Ships First Commercial Dye-Sensitized Solar Cell Application
G24 Innovations (G24i), a global dye-sensitized solar cell (DSSC) company, has seen the first commercial shipment of dye-sensitized PV modules to Hong Kong-based consumer electronics bag manufacturer, Mascotte Industrial Associates. The shipment makes the company the "first commercial manufacturer of dye-sensitized solar cells technology for mass consumer use in the world," G24i said. more » » » 
Q-Cells Selects Camstar to Boost New Solar Lines
Solar powerhouse Q-Cells will deploy Camstar's SolarSuite software platform to improve process quality and cell efficiency on two new production lines in Germany and its new mega-factory in Malaysia. more » » » 
Tempress solar processing platform (102209Tempress.jpg)Amtech Gets Dutch Government Grant for Solar R&D
Amtech subsidiary Tempress Systems Inc. received a technology grant from the Dutch government for solar R&D work. The grant was jointly obtained with one of Tempress's European solar research partners, and will be used to develop a process method to improve solar energy conversion efficiencies. more » » » 

Saturday, October 17, 2009

MEMS Motion Sensors Stay in Motion

from Semiconductor International
Seven interlocked microgears are an example of state-of-the- art MEMS manufacturing (SIX0910CoverFtif.jpg)
In the October issue of Semiconductor International, Laurent Robin of Yole Developpement writes that MEMS inertial sensors now represent a $1.85B market. While the majority comes from automotive applications, consumer should overtake automotive by 2012. "When it comes to very high volumes, big IC players are often preferred," Robin writes. more » » » 

Solar's Changing Climate: Photovoltaics and the Legislative Effect

from Semiconductor International
2008 PV Supply and Demand, Navigant Consulting
In this webcast, aired originally on Aug. 27, our global panel of experts -- Winfried Hoffmann of EPIA, Rhone Resch of SEIA and Paula Mints of Navigant Consulting -- explored the evolving legislative environment in key and emerging markets around the world, examining the effects governments are having on the viability of photovoltaics as the industry pursues grid parity. Key concerns raised by audience members and addressed by panelists included unfair competition from China, and what kind of shape the PV market will be in as demand begins to recover. more » » » 

From Wefer processing report

News from Semiconductor International

Intel's InGaAs QWFETs TS (101509IntelTS330.jpg)IEDM Confronts Logic Scaling Challenges
The International Electron Devices Meeting (IEDM), set for Dec. 6-9 in Baltimore, includes presentations on new annealing techniques, finFETs, compound semiconductors and random telegraph noise. The conference, with 215 paper presentations, will be preceded by a Sunday short course on scaling challenges organized by TSMC's Howard C.H. Wang. more » » » 
Fujitsu Labs HEMTHigh-Power Transistors Emerge at CEATEC
Sanken, Fujitsu Laboratories and other Japanese companies introduced high-power transistors, some of them aimed at the growing market for electric vehicles. The SiC- and GaN-based devices were on display at the CEATEC Japan 2009 show. more » » » 
SOI arm TS (101209SOIarm330.jpg)SOI Reduces Dynamic Power, Wafer Costs Coming Down
Silicon-on-insulator (SOI) technology is seeking to penetrate the high-volume market for mobile Internet devices and smart phones. An ARM paper at the IEEE International SOI Conference compared power consumption levels for bulk and SOI. And wafer supplier Soitec said its volume wafer prices are now in the $500 range. more » » » 
Axcelis OptimaXE (101609Axcelis_OptimaXE.jpg)Foundry Selects Axcelis Optima XE for High-Energy Implant
A major foundry has selected Axcelis Technologies' single-wafer Optima XE for its most advanced production facility. The high-energy implant tool will be used for high-volume manufacturing of a wide variety of logic and memory products, as well as for the development of next-generation devices. more » » » 
Qualcomm Cost Estimates for 3-D TSVsQualcomm's Nowak: 3-D Faces Cost Issues
Qualcomm Director of Advanced Technology Matt Nowak outlined the cost and technology challenges facing 3-D interconnects in a speech at an IEEE 3-D IC conference. "If this technology adds more than 10% to final costs, it will not be widely used in high-volume wireless technology," he said. more » » » 
TSMC and IMEC Create Innovation Incubation Alliance
IMEC and TSMC announced that they have forged an Innovation Incubation Alliance to create a platform enabling the development of innovative product solutions using emerging More than Moore technology options. Integrating extra functionalities with foundry CMOS enables customers to compete in emerging markets. more » » » 
EVG Installs Fusion Wafer Bonding Systems at CIS Fabs
EV Group has completed the installation of two automated fusion bonding systems for 300 mm wafers at a leading semiconductor foundry and at a major consumer electronics manufacturer. The Gemini FB automated production fusion bonding systems will be employed for the production of backside illuminated CMOS image sensors ranging from ultracompact wafer-level cameras for mobile phones to larger form factor high-end image sensors. more » » » 
IBM 32 nm (092109IBMeDRAM330.jpg)IBM Readies 32 nm eDRAM With Low Latency
IBM unveiled a 32 nm SOI embedded DRAM, and will provide details at the upcoming IEDM in December. Gary Patton, vice president for IBM's SRDC, said the SOI eDRAM has latency and cycle times of less than 2 nsec, uses 4x less standby power, and has "up to a 1000 times lower soft-error rate (SER), better power savings, and reliability comparable to a similar SRAM." more » » » 
Applied Materials Topmet (Applied Materials Topmet)Applied Shipping Topmet Roll-to-Roll Vacuum Coating Systems
Applied Materials has begun shipping multiple Applied Topmet 4450 systems, reported as the largest and fastest roll-to-roll thin-film metal deposition machines, to a customer in Europe. This latest model deposits ultrathin aluminum films on 4.5 m wide rolls of substrate material at 20 m/sec to provide a barrier against oxygen, moisture and ultraviolet radiation for flexible packaging applications. more » » » 

Friday, October 9, 2009

Perspectives From the Leading Edge: 3-D IC in the City by the Bay

From Semiconductor International
Newsbreak Edge (100809nb-Edge.jpg)
At the San Francisco IEEE 3-D conference, MIT's Lincoln Lab reported on their DARPA-sponsored 3-D integration process to combine Si readout circuits with InGaAs photodetectors for short-wavelength infrared (SWIR) imagers. They examined the MOSFET performance before and after integration and found no apparent degradation caused by the 3-D process. more » » » 

Dow Unveils CIGS-Based Solar Shingles

from Semiconductor International
Dow Powerhouse Solar Shingles
Dow Chemical Co. unveiled its line of Powerhouse Solar Shingles, integrating thin-film CIGS solar cells with standard asphalt single materials for rooftop applications. more » » » 

Saturday, October 3, 2009

News from Semiconductor International


Intel PMOS Top 5Intel Ramping 32 nm Manufacturing in Oregon
Intel is shipping "large numbers" of 32 nm samples of its Westmere processor to PC vendors for system testing, said Intel Senior Fellow Mark Bohr. "The 32 nm process is certified, and we are loading up our first factory in support of planned Q4 revenue production," he said.more » » » 
Samsung Austin fabSamsung Upgrading Austin NAND Fab
Samsung said it will convert an older 200 mm DRAM fab in Austin into a copper BEOL for the adjacent 300 mm NAND fab. The upgraded Austin fab will be ready for 3X NAND production as early as late 2010.more » » » 
Applied Materials Orders Increased for Wafer Fab EquipmentApplied Sees Jump in Equipment Orders
Applied Materials said orders for wafer fab equipment spiked in the final month of its third fiscal quarter, ending July 26. Foundries increased spending sharply. CEO Mike Splinter said display manufacturers also are looking at better times, driven by flat panel television sales. Sales for the quarter improved to $1.13B. more » » » 
Chartered Fabs Top Graphic (090809Chartered330.jpg)ATIC to Acquire Chartered, Combine It With GlobalFoundries
The Advanced Technology Investment Co. LLC (ATIC, Abu Dhabi) said it will pay ~$3.9B to acquire Chartered Semiconductor Manufacturing Ltd. (Singapore). The addition of Chartered comes just six months after the formation of GlobalFoundries, whose CEO Doug Grose will head up the combined operation. more » » » 
HD Microsystems adhesives3-D IC Technology Continues to Advance
Despite the downturn, several companies announced 3-D interconnect-related advances at SEMICON West. NEC Electronics, CEA-Leti, EV Group, Soitec and others had 3-D news at the show.more » » » 
MEMS applications (081909sandia330.jpg)MEMS Packaging Headed to Wafer Level
MEMS packaging may take an evolutionary leap forward into wafer-level packaging, driven by large IDMs and foundries, analysts said. "The big fabs may end up being the ones doing the innovative MEMS packaging," said Ken Gilleo, founder of packaging consultancy ET-Trends. more » » » 
Day 1 Testimony in TSMC vs. SMIC trialTSMC Hears Charges of IP Leaks, FUD Campaign in Trial With SMIC
In the first full day of testimony in the TSMC vs. SMIC intellectual property trial, TSMC was accused of IP leaks to customers and a series of anti-SMIC "FUD" campaign efforts. TSMC North America president Rick Cassidy spent much of the day on the stand, defending TSMC against allegations raised by SMIC attorney Matthew Reed. more » » » 

Alan Allan, IRTSThis Year, Entire Roadmap Changes
The ITRS will see a new edition go online in December. To get ready, participants met in San Francisco for the ITRS Summer Public Conference held during SEMICON West. New materials and devices are being readied to extend CMOS and to prepare the industry for the day when charge-based devices run out of steam. more » » » 
ST's CIS is in full production with TSV 3-D interconnects.ST-Ericsson Taking 3-D to Mobile Phones
ST-Ericsson has a roadmap for commercial wireless products that includes what could be the first true 3-D ICs using TSVs. The memory-logic stack also will move to 3-D interconnects, driven by "the increased bandwidth required by the final application," said Yan Guillou, an ST-Ericsson manager. more » » » 
Nemotek TechnologieWafer-Level Packaging in Africa?
Yes, Africa. Nemotek Technologie is manufacturing wafer-level optics and packaging in its state-of-the-art facility located in Morocco's Rabat Technopolis Park. more » » » 
Intel's dual-core Atom processorOptical Lithography Is Still the Technology to Beat
Although EUV lithography is widely considered the prime candidate for post-optical lithography, the only available option to support 15 nm logic development in 2011-2012 is 193 nm immersion lithography with pitch division, said Yan Borodovsky, Intel's director of advanced lithography. more » » » 
Chipworks, Samsung CISChipworks Inside Angle: DSLR Image Sensor Innovation -- Who Is Challenging Canon and Nikon's Lead?
Chipworks' Image Sensor Sector Analyst Ray Fontaine blogs about teardowns of new image sensors from Panasonic, Pentax and others, which are challenging the twin DSLR giants, Canon and Nikon. more » » » 
Marco Mora deposition in TSMC vs. SMICMora Grilled in TSMC vs. SMIC Deposition
Marco Mora, now the COO at SMIC, was deposed in video testimony taken in Shanghai, China, about alleged transfers of process recipes in 2000 and 2001 from TSMC. The deposition was shown to the jury Monday in the TSMC vs. SMIC civil trial being held in Oakland, Calif.more » » » 
SMIC TS (091009SMIC330.jpg)TSMC vs. SMIC Trial Commences in Oakland
The intellectual property trial between TSMC and SMIC began last Wednesday with opening remarks by attorneys. The two companies entered into a comprehensive legal settlement in 2005, with SMIC paying TSMC $175M to resolve allegations that SMIC obtained TSMC's process recipes and other trade secrets. However, further conflicts between the rival foundries resulted in the current lawsuit. more » » » 
Hitachi MEMS (090309MEMS330.jpg)Hitachi Creates MEMS Sensor Process
Hitachi researchers have developed a method to form cavities in the interconnect layers of CMOS ICs, allowing MEMS sensors to be created in the wiring layers. The technology results in ultrasmall, single-chip solutions, and will be applied to tire pressure sensors and other MEMS devices, Hitachi said. more » » » 
GlobalFoundries Fab 2GlobalFoundries Breaks Ground in Malta
GlobalFoundries held a groundbreaking ceremony for Fab 2 in Malta, N.Y. The foundry's goal is to have the first tool move in by October 2011, with qualification coming in early 2012 and commercial production by the second half of 2012. The event marks "a significant shift in momentum" for chip manufacturing in the United States, said Norm Armour, Fab 2 general manager. more » » » 
3-D Integration - Wafer newsletterEvaluating the Risks and Benefits of 3-D Technology
In this article from Pol Marchal and Mieke Van Bavel of IMEC, the authors show how a pathfinding methodology, a virtual chip design flow and an associated tool chain can be combined to help find the 
3-D manufacturing technology/design sweetspot. more » » » 

Friday, October 2, 2009

Fabrication of low-cost electronic biosensors

aDepartment of Chemical Engineering, Stanford University, Stauffer III, 381 North-South Mall, Stanford, CA 94305-5025, USA

bCenter for Integrated Nanotechnologies, Sandia National Laboratories, Albuquerque, NM 87185, USA


Available online 25 September 2009. 

The fabrication of miniaturized, low-cost, flexible sensors based on organic electronics via high-throughput techniques (e.g. printing) is expected to provide important benefits for applications in chemical and biological detection. The rapid maturation of synthetic methodology in the field of organic electronics has lead to the creation of new materials at an incredible rate and an increased understanding of semiconductor-analyte interactions. Owing to these advances, we have seen steady improvements in sensitivity, stability, and specificity, in addition to the detection of a wide range of chemical analytes. In this review, we address the fabrication, challenges, and sensor performance of organic transistor-based detection devices with an outlook toward developing sensors capable of operating in biologically relevant media.

Article Outline

Organic thin-film transistors
General OTFT fabrication approaches
OTFT Sensor operation
Material considerations for OTFT sensors
General approaches for sensor selectivity
Towards in-situ biosensors
Conclusions and outlook
References

Wednesday, September 30, 2009

R&D Pushes c-Si Solar Cells Through Hurdles

from Semiconductor International

IMEC i-PERC solar cell TS (092509imecTS330jpg.jpg)
Although crystalline silicon solar cells continue to dominate the photovoltaics market, they face any number of challenges to sustained growth, stemming from increasing pricing pressures. Researchers are working on several novel concepts to meet the challenges. more » » » 

Twitter Delicious Facebook Digg Stumbleupon Favorites More