Friday, July 31, 2009

News from Semiconductor International


PV Inventory - Top StorySolar Inventories Continue to Rise
Players throughout the world have rushed to get in on a promising PV market, which saw demand crash at the end of 2008, leaving a glut of inventory in the supply chain. According to a report from iSuppli, average inventories rose 64.3% over the past year, from 74.2 days to almost 122 days in the first quarter. more » » » 
Trina Solar Sales Improve 12-15% From First Quarter
Trina Solar issued preliminary financial results for the June-ending quarter, with improved sales and profits. The company also said it will refinance existing loans, and priced an offering of 4.5 million American depositary shares (ADSs) at $28.75 per share. more » » » 
PV Report 073009, NISTDeck Stacked for Organic Solar Power
NIST scientists have deepened their understanding of organic photovoltaics, revealing ways to control how it forms. The researchers aim to significantly improve cell efficiencies and life spans. more » » » 
ReneSola polysilicon facility, SichuanReneSola Opens Polysilicon Facility in Sichuan Province
The Chinese solar wafer manufacturer celebrated a broadened portfolio with the opening of a 3000 MT polysilicon manufacturing facility. more » » » 
Sam Sherraden, New America FoundationCommentary: Green Jobs: Hope or Hype?
Samuel Sherraden, a policy analyst for the Economic Growth Program at the New America Foundation, contends that the green sector is not large enough or competitive enough to be a major engine of job creation, and U.S. President Barack Obama should not put too many eggs in the green recovery basket.
more » » » 
MEMC Enters JV With Q-Cells, Reports Sequential Revenue Gain
Though semiconductor demand is improving, wafer supplier MEMC notes continuing troubles from the solar sector, where it has had to lower prices on long-term supply agreements. The company has expanded its solar reach, however, through a joint venture with solar cell manufacturer Q-Cells. more » » » 

Monday, July 27, 2009

News from Semiconductor International

Anand Chandrasekher Disaster Stimulates the Industry
Every economic crisis over the past 40 years has resulted in significant innovations, according to Anand Chandrasekher, senior vice president and general manager of Intel Corp.'s Ultra Mobility Group. It has been at the tail end of some of the severest economic downturns that novel products have emerged, creating profitable markets. more » » » 
Yan Borodovsky, Intel Optical Lithography Is Still the Technology to Beat
Although EUV lithography is widely considered the prime candidate for post-optical lithography, the only available option to support 15 nm logic development in 2011-2012 is 193 nm immersion lithography with pitch division, said Yan Borodovsky, Intel's director of advanced lithography. more » » » 
Auction Yes, This Is Business
Alex Braun's blog that posted on Saturday has certainly gotten the attention of people in the industry. Alex's point was simply that the booth was a sad sight. Not that Emerald Technology Valuations is not a reputable firm that will do a fine job of reconditioning the 300 mm tools and delivering them to a new owner for a fair price, to the great advantage of the industry. more » » » 
Charles Pieczulewski, Sokudo Toolmakers Ease Double Patterning Throughput Hit
At this year's Sokudo Lithography Breakfast Forum at SEMICON West, toolmakers presented their latest throughput achievements, detailing a variety of enhancements designed to increase productivity. more » » » 

Wednesday, July 22, 2009

3-D IC Technology Continues to Advance


HD Microsystems adhesivesfrom Semiconductor International
Despite the downturn, several companies announced 3-D interconnect-related advances at last week's SEMICON West. NEC Electronics, CEA-Leti, EV Group, Soitec and others had 3-D news at the show. more » » » 

Saturday, July 18, 2009

News from Semiconductor International


Club330Organic PVs Promise Better Efficiency
Canadian researchers have founds ways to improve organic photovoltaics with a "club sandwich" structure. Organic solar cells are easy to put on plastic sheets for roll-to-roll processing, reducing costs. The researchers found out how to "flatten the lettuce" in the multilayered structure, making charges more uniform. more » » » 
A Stronger, More Efficient Photovoltaic Industry
The economic turmoil of the PV market in 2009 could actually turn into a more mature and orderly supply chain for the worldwide solar industry when growth returns, iSuppli predicts.more » » » 
IBM330IBM Alliance Ready With 28 nm Eval Kits
The IBM-led alliance said the evaluation kits for its 28 nm technology are now available, with 28 nm multi-project-wafer shuttles already underway. The alliance has been promoting its high-k/metal gate offering at the 32/28 nm generation. IBM's Gary Patton said customers also are encouraged by the capacity expansion plans at GlobalFoundries. more » » » 
Flip330Flip-Chip Packaging Becomes Competitive
The cost and performance benefits of flip-chip packaging, combined with the increased cost of gold bonding wire, have made flip-chip technology competitive for applications ranging from cell phones to gaming chips. more » » » 
Splinter: 'Very Dynamic Environment' Now
Applied Materials executives said customer confidence is improving, but cautioned that the company is seeing "a very dynamic environment" in all of its major segments. China's stimulus plans may continue to support cellphone and PC consumption there, said CEO Mike Splinter following release of fiscal Q2 financial results. more » » » 

News from Semiconductor International

ISMI Selects SSEC 450 mm Single Wafer Cleaner
The 3400 series single-wafer cleaning processor from Solid State Equipment Corp. has been chosen by the International Sematech Manufacturing Initiative (ISMI) to clean particles and metallic contaminants from 450 mm silicon wafers. more » » » 
Raj Jammy, SematechPost-Silicon Solutions Emerging
Researchers have an array of new technologies in the pipeline to boost CMOS logic and memory performance, Sematech Vice President Raj Jammy said Tuesday at the Device Scaling TechXPOT at SEMICON West. High-mobility graphene channels, gates built around nanowires, finFETs with III-V materials -- all promise to blow past the power/performance capabilities of silicon CMOS. more » » » 
Error gap has 50% lower capacitance than SiO2.IMEC Reveals Interconnect Roadmap to 10 nm
IMEC says there has been great progress in identifying metallization solution for 22 nm. The research center and its partners also have demonstrated interconnect feasibility to the 10 nm node, targeting the year 2022. more » » » 
Reflex MC FSI 450ISMI Reports Progress at SEMICON West
At the SEMICON West show, ISMI met the supplier community to discuss progress with the 450 mm and Next Generation Factory programs. The 450 mm effort is moving from automation and wafer handling testing to demonstration wafer processing equipment. Updated metrics for 60 different types of equipment were posted to the ISMI website early today.more » » » 
SUSS Temporary BondingSUSS MicroTec, 3M Partner on 3-D Bonds
SUSS MicroTec AG (Garching, Germany) and 3M Corp. (Minneapolis) will work together, offering 3M's temporary bonding process as part of the new SUSS 300 mm bonder line. more » » » 

Thursday, July 16, 2009

Next-Gen Nanoimprint Stepper Improves Defectivity, Alignment


EVG770 Gen IIfrom Semiconductor International
EV Group announced its latest UV-NIL stepper in conjunction with SEMICON West, but the tool is mainly targeted at the latest micro-optical applications rather than next-generation semiconductor devices. more » » » 

Launch of PVSociety.com

Semiconductor International Announces the Launch of PVSociety.com 
A new network for solar cell engineers and researchers

Oak Brook , Ill., July 13, 2009 – The staff of Semiconductor International is pleased to announce the launch of PVSociety.com, a destination for professionals in the photovoltaics manufacturing industry. "We designed PVSociety.com to be a gathering place for engineers and researchers facing the day-to-day challenges of solar cell and module manufacturing," said Laura Peters, Editor-in-Chief of PVSociety.com.

PVSociety.com is the place to go for the latest PV manufacturing and industry news, technical features, and insightful blogs from experts in the PV field. Site visitors are encouraged to participate in the conversations and network with industry professionals. Topics will range throughout the PV manufacturing chain, from polysilicon to module, and cover all PV technologies, including crystalline silicon, thin film, organic and more, as the industry strives to find the best technologies and manufacturing methods to reach grid parity.

Semiconductor International, published by Reed Business Information and a part of Reed Elsevier's global array of information products, is the leading technical publication servicing the global semiconductor manufacturing industry. SI boasts the industry's most experienced full-time technical editorial team, which brings its knowledge of semiconductor manufacturing to bear on the evolving PV manufacturing space.

Additional information about SI and its many products and activities are available atwww.semiconductor.net. For more information about PVSociety.com, go towww.pvsociety.com

NEWS FROM THE WORLD OF MATERIALS

Visit the Materials360® Plus and the Materials News pages on the MRS Website for continually updated research news and features

Image in Focus

    
    Credit: Adam Steele, University of Illinois

Nano-Santa 
Nano-Santa with his bag of nano-tubes. This was discovered on the surface of one of the authors' superhydrophobic nanocomposite coatings. It has been colored slightly using image processing for an artistic effect.

(One of three second place winners of the the Science as Art competition at the 2009 MRS Spring Meeting. Submitted by Adam Steele, Georgia Institute of Technology)

[We invite you to submit your images for possible inclusion in this feature]

Materials in Focus

Not all iron superconductors are the same 
We are facing a new paradigm in superconductivity research with the discovery of superconductivity in iron pnictides and the iron chalcogenides. New results now lead, in concert with other recent experimental work, to a remarkable conclusion: iron chalcogenides, in seeming contrast to the iron pnictides, do not exhibit the characteristic Fermi-surface-induced magnetism, a spin-density-wave ordering, that the parent compounds of nearly all other iron-based superconductors share.

EELS finds atoms
Researchers have pushed the sensitivity of electron energy loss spectroscopy (EELS) to the single-atom limit. This advance in EELS's analytical resolving power provides scientists the ability to pinpoint in solids the locations of lone atoms such as impurities and identify them chemically. The group probed carbon nanotubes loaded with a few fullerene cage molecules that had each been doped with one atom of a foreign element such as calcium or cerium. The method revealed the identity and positions of the individual foreign atoms within the nanotubes and differentiated between Ce3+ and Ce4+.

Single molecule switches light
Researchers have made what they say is the world's smallest optical transistor ever — from a single dye molecule. The device, which works by weakening or amplifying a "source" laser beam depending on the power of a second "gating" beam, could bring all-optical circuits and optical computing a step closer. When the molecule is placed in an excited state by the gate beam, it can emit a photon, therefore amplifying the source beam. The key to making the new optical transistor is the tight focusing of light onto a single molecule at ultra-low temperatures. This focusing provides strong light-molecule coupling that allows the molecule to affect the laser light.

Half-metallicity in Fermi-level-tuned Heusler alloy for spintronics
Heusler alloys are half-metals, in which the conduction band is split into two polarizations—one half occupied, the other empty—thus providing 100% spin polarized current transport. However, the materials studied so far have shown strongly temperature-dependent behavior due to the band structure, making them unsuitable for practical device applications. A new study now shows that Fermi-level tuning by the introduction of appropriate dopant atoms, a technique similar to electron or hole enrichment in semiconductors, can be applied to the Heusler alloy Co2FeAl0.5Si0.5.

Non-destructive readout of ferroelectric states
A general problem in the electronics industry is that the insulating materials used in the continually shrinking capacitors and transistors start to leak charge when they become too thin. This leads to large power consumption and, in the case of memory, to difficulties in storing and retrieving information. In a new report, researchers show that this generally undesirable leakage current can in fact be very useful, allowing for direct reading of the polarization state through a simple measurement of the material's electrical resistance.

Nano Focus

Self-erasing images use metastable nanoparticle inks
A technology that could make it possible to create documents that wipe themselves clean after they've been read, exploits the colour-changing ability of nanoparticles. A research team coated gold nanoparticles with a layer of hair-like molecules called 4-(11-mercaptoundecanoxy) azobenzene or MUA. When zapped with ultraviolet light, these filaments change their shape and charge distribution, causing the nanoparticles to congregate together and change color. The team dispersed the nanoparticles in a gel and sandwiched it between plastic sheets to produce a thin, red film. When they shone UV light at the film, they found they could print a range of images or write words onto the film in just a few seconds. The image's lifetime depends on the amount of MUA coated onto each nanoparticle. By varying this concentration, the chemists found they could control how long the images stayed visible, from hours to days.

Holey nanosheets for wastewater dye removal
Researchers have discovered that extremely thin sheets of nickel oxide with hexagonally shaped holes can absorb hazardous dyes from wastewater nearly as well as the best traditional methods. Metal oxides like NiO have the main advantage that the absorbed material can be burned off and the NiO can be reused. Additionally, the polar surface of the NiO nanosheets may provide some advantages in adsorbing certain substrates.

Bio Focus

DNA sorts carbon nanotubes
Carbon nanotubes are relatively easy to grow, but sorting them according to their electronic properties is time consuming and costly. Now, researchers have invented a way of isolating different types of nanotube by mixing them with DNA. The new technique involves mixing the SWNTs with a particular DNA sequence, which coats the surface of the nanotubes to form large, hybrid molecules. The researchers found that the chromatography system could be adjusted so that hybrids containing SWNTs of a specific chirality are first through the tube — and could therefore be isolated. The DNA is then removed from the SWNTs, leaving a relatively pure sample.

Upconverting luminescent nanomaterials used for bioimaging
Researchers have developed an in vivo imaging method that offers a potentially safer and more stable alternative to current methods. They investigated the luminescent properties of yttrium-based nanomaterials and have used the materials to obtain images of blood vessels in mice. The method relies on a process called upconversion, in which particles absorb light of one wavelength and emit light of a shorter wavelength. This recent approach to imaging has many advantages over existing methods, such as the use of quantum dots.

Energy Focus

Reversible generation of aluminium hydride for hydrogen storage
Researchers have created a reversible route for generating aluminum hydride, a high capacity hydrogen storage material. They have developed a novel closed cycle for producing aluminum hydride (AlH3), also known as alane. Alane possesses the desired qualities as a hydrogen storage material, but had been considered impractical because of the high pressures required to combine hydrogen and aluminum to reform the hydride material. Alternate methods of production using chemical synthesis have typically produced stable metal chloride byproducts that make it practically impossible to regenerate the alane. The electrochemical cycle now demonstrated by the group for the production of alane avoids both of these issues.

Friday, July 10, 2009

ST-Ericsson Taking 3-D to Mobile Phones


ST-Ericsson roadmapFrom Semiconductor International.
ST-Ericsson has a roadmap for commercial wireless products that includes what could be the first true 3-D ICs using TSVs. The memory-logic stack also will move to 3-D interconnects, driven by "the increased bandwidth required by the final application," said Yan Guillou, an ST-Ericsson manager. more » » » 

Ascent Solar Exceeds 10% CIGS Module Efficiency


Ascent Solar CIGSFrom Semiconductor international.
Ascent Solar Technologies has achieved its initial target module efficiency goal of 10% for its flexible CIGS monolithically integrated modules, with conversion efficiency measuring as high as 10.4%. more » » » 

Thursday, July 2, 2009

Nano Focus from MRS

Carbon nanotubes wired for pressure sensing 

Carbon nanotube (CNT)-based pressure sensors offer the advantages of ultra-low-power operation, wide dynamic range and ease of integration with microcavities for vacuum microelectronics, compared with conventional thermal conductivity gauges such as Pirani or thermocouple devices. The enhanced pressure sensitivity of CNT-based sensors, especially at large bias voltages, was attributed to the one-dimensional nature of electrical transport within the suspended tubes, as well as the contacts. These artifacts in suspended tubes were manifested by the presence of a negative differential conductance (NDC) regime in the device's IV curve. The feature was absent in the profile for unreleased tubes. The presence of the NDC suggests a large optical phonon density in suspended tubes at large biases, which affects tube temperatures and can be exploited to enhance the sensitivity of CNT-based pressure sensors.

Nanoparticles make self-erasing images
Materials displaying 'self-erasing' color images have been created by researchers, using specific nanoparticles that can assemble and disassemble themselves under different wavelengths of light. The materials are printed with ultraviolet (UV) light and erased with visible light. They are made by coating gold and silver nanoparticles with a single layer of azobenzene molecules, and then embedding the nanoparticles in thin, flexible 'organogel' films. When the films are exposed to UV light, the structural symmetry of the azobenzene molecules flips, and they acquire electric dipoles that make them attract. This attraction causes the nanoparticles to assemble, and thereby take on a new color. However, in an absence of UV light - or even better, in strong visible light - the particles revert to their original symmetry, and the color disappears over a period of time.

News from Engineers Live

Ethernet switches incorporate power supply
Garrettcom Europe's new PES42P hardened Ethernet edge switch features an integral power supply for operating power-over-Ethernet devices

Read

Energy-efficient motors: new international standard supports market
International standard IEC 60034-30:2008 defines new energy efficiency classes for electric motors
Read

Level measurements: non-contact sensors mounted outside vessel
Sensortechnics is introducing the CLC series non-contact capacitive sensors that can be mounted outside a vessel
Read

Gas meters provide smart two-way communication of accurate data
Smart metering systems, which combine smart gas, electricity meters and visual display units into one metering system
Read

Milling machines: desktop model more versatile and easier to use
Compared with the previous model, Roland DG's new Modela MDX-40A desktop milling machine benefits from new features and improved user software

Read

StratEdge Releases High-Frequency Surface-Mount Package

from Semoconductor International
SMX Series surface mount package
StratEdge, a provider of design and production of semiconductor packages for microwave, millimeter wave and high-speed digital devices, announced the release of its new high-frequency SMX Series surface-mount package.more » » » 

Wafer-Level Packaging in Africa?

TOP STORY...

Nemotek Technologiefrom Semiconductor International
Yes, Africa. Nemotek Technologie is manufacturing wafer-level optics and packaging in its state-of-the-art facility located in Morocco's Rabat Technopolis Park. more » » » 

Twitter Delicious Facebook Digg Stumbleupon Favorites More